Smart ZYNQ(SP&SL 版) 工程七 用ZYNQ的PS点亮连接到PL端的LED灯EMIO 方式 (推荐使用方式)

前面的工程6用AXI GPIO的方式 让PS去控制PL端的LED灯(相当于 PL端需要生成AXI GPIO相应的电路,占用资源), 本文换个思路用EMIO的方式 将PL端的LED 映射到PS端的GPIO上。 该方法在项目上更常用,(对PL端的资源占用非常小,可以简单理解为PS端拉了根导线到PL端对应的IO口)除了GPIO可以EMIO映射外, SPI I2C UART 都可以用相同方式来进行映射,极大的增加了系统的可拓展性[……]

继续阅读